Bug: Applying a custom VHDL attribute to an array of records fails to compile
Compiling the following package fails in DSim version 20240422.0.0 with
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;package attribute_def_pkg is attribute type_length_attribute : natural; type record_type is record field_1 : std_logic; field_2 : std_logic; end record; attribute type_length_attribute of record_type : type is 2; -- Works fine type array_of_records_type is array (1 downto 0) of record_type; attribute type_length_attribute of array_of_records_type : type is 4; -- Fails: E:[ClassHidden]end package attribute_def_pkg;